مقایسه کننده آنالوگ در AVR

توسط | 29 دی, 1398 | AVR, میکروکنترلر, وبلاگ | 14 دیدگاه ها

مقایسه-کننده-آنالوگ-avr

آموزش AVR یوبرد

شاهکار 8بیتی اتمل

آموزش های رایگان AVR

فیلم های آموزش AVR

آموزش خصوصی AVR

اخبار جدید یوبرد در اینستاگرام

مقایسه کننده آنالوگ در AVR واحدی است که ولتاژهای دو سیگنال ورودی را با یکدیگر مقایسه می کند. و نتیجه را که بیانگر بزرگ و کوچک بودن ولتاژها نسبت به یکدیگر است، در یک بیت قرار می دهد. این مقایسه کننده یک پایۀ ورودی مثبت (AIN0) و یک پایۀ ورودی منفی (AIN1) دارد. اگر ولتاژ پایۀ مثبت از ولتاژ پایۀ منفی بزرگتر باشد، خروجی 1 می شود. اگر ولتاژ پایۀ منفی از ولتاژ پایۀ مثبت بزرگتر باشد، خروجی صفر می شود. تغییر سطح خروجی که نتیجۀ عبور مقدار ولتاژهای ورودی از یکدیگر است، می تواند باعث اتفاق وقفۀ مقایسه کننده شود. مقایسه کننده آنالوگ در AVR امکانات و قابلیت های دیگری نیز دارد. یک قابلیت این است که ورودی مثبت مقایسه کننده می تواند یک ولتاژ ثابت داخلی (ولتاژ Bandgap) باشد. یک قابلیت هم این است ورودی منفی مقایسه کننده می تواند یکی از که پایه های ورودی ADC باشد. قابلیت دیگر هم این است که خروجی مقایسه کننده می تواند واحد Capture یک تایمر را تحریک کند. در این نوشته به بررسی و شرح عملکرد واحد مقایسه کننده آنالوگ در AVR می پردازیم. میکروکنترلر مورد بحث ما در این نوشته ATmega128A است. عملکرد مقایسه کنندۀ آنالوگ در  بسیاری از میکروکنترلرهای AVR شبیه هم است. در برخی از آنها تفاوت هایی وجود دارد که در دیتاشیت به طور دقیق تشریح شده اند. لازم به ذکر است که این نوشته می تواند شرحی بر مقایسه کننده در آردوینو هم باشد. و مطالبی که بررسی خواهیم کرد برای بردهای آردوینوی مبتنی بر میکروکنترلرهای 8 بیتی AVR نیز صادق است.

وقفه در AVR

مقایسه-کننده-میکروکنترلر-atmega128

تصویر 1 – مقایسه کننده آنالوگ در میکروکنترلرهای AVR

مقایسه کننده و تفاوت آن با اپ امپ

مقایسه کننده (Comparator) المانی است که مقدار دو ولتاژ ورودی را با یکدیگر مقایسه می کند و نتیجه را به صورت سطح Low یا High در خروجی می دهد. در مقایسه کننده ها دو پایه ورودی مثبت و منفی وجود دارد. هرگاه ولتاژ روی پایه ورودی مثبت از ولتاژ روی پایه ورودی منفی بزرگتر شود، خروجی High می شود. هرگاه ولتاژ روی پایه ورودی مثبت از ولتاژ روی پایه ورودی منفی کوچکتر شود، خروجی Low می شود. در تصویر زیر نمودار خروجی یک مقایسه نسبت به ورودی های آن ترسیم شده است. همان طور که مشخص است، وقتی Vin از Vref بزرگتر است، خروجی برابر VCC است. وقتی Vin کوچکتر از Vref است، خروجی برابر -VCC است. قسمت هاشور خورده نیز ناحیۀ خطی عملکرد مقایسه کننده است.

نمودار-ورودی-خروجی-مقایسه-کننده

تصویر 2 – نمودار خروجی مقایسه کننده نسبت به ورودی آن و نماد شماتیکی مقایسه کننده

در تصویر بالا می بینیم که نماد شماتیکی مقایسه کننده شبیه نماد شماتیکی اپ امپ (Op-amp) است. اگرچه می توان با اپ امپ ها مقایسه کننده ساخت، اما اپ امپ ها و مقایسه کننده ها تفاوت هایی با هم دارند. تصویر زیر مدار داخلی یک مقایسه کننده و یک اپ امپ است. مهم ترین تفاوت اپ امپ و مقایسه کننده، خروجی آنهاست. خروجی مقایسه کننده، Open Collector (کلکتور باز) و خروجی اپ امپ، مکمل است. اگرچه مقایسه کننده هایی با خروجی مکمل وجود دارند، اصلی ترین تفاوت مقایسه کننده و اپ امپ نوع خروجی آنهاست. تفاوت دیگر، وجود خازن جبران ساز در مدار داخلی اپ امپ است. در مقایسه کننده ها این خازن وجود ندارد. اپ امپ ها برای عملکرد خطی در نظر گرفته شده اند و خروجی آنها مقداری بین ولتاژ تغذیۀ منفی و مثبت است. اما مقایسه کننده ها همواره در اشباع منفی و یا اشباع مثبت هستند. در ادامه به مقایسه کننده آنالوگ در AVR می پردازیم.

نماد شماتیکی قطعات الکترونیکی

تفاوت-اپ-امپ-مقایسه-کننده

تصویر 3 – مدار داخلی مقایسه کنندۀ LM339 و اپ امپ LM324

مقایسه کننده آنالوگ در AVR

مقایسه کننده آنالوگ در میکروکنترلر ATmega128A، ولتاژ پایۀ AIN0 (یا ولتاژ Bandgap) را با ولتاژ پایۀ AIN1 (یا یکی از پایه های ADC0 تا ADC7) مقایسه می کند. ورودی مثبت می تواند پایۀ AIN0 و یا ولتاژ مرجع Bandgap داخلی باشد. ولتاژ Bandgap در ATmega128A در دمای 25 درجۀ سانتیگراد و ولتاژ تغذیۀ 5 ولت، برابر 1.205 ولت است. ورودی منفی نیز می تواند پایۀ AIN1 و یا یکی از پایه های ورودی ADC باشد. در تعیین ورودی منفی، بیت ACME از رجیستر SFIOR، بیت ADEN از رجیستر ADCSRA و بیت های MUX2 تا MUX0 از رجیستر ADMUX دخیل هستند. که رجیسترهای ADCSRA و ADMUX مربوط به واحد ADC در AVR هستند.

Analog Comparator Negative Input MUX2:0 ADEN ACME
AIN1 xxx x 0
AIN1 xxx 1 1
ADC0 000 0 1
ADC1 001 0 1
ADC2 010 0 1
ADC3 011 0 1
ADC4 100 0 1
ADC5 101 0 1
ADC6 110 0 1
ADC7 111 0 1

جدول 1 – بیت های مربوط به تعیین ورودی منفی مقایسه کننده

نکته: برای این که بتوان از پایه های ADC برای مقایسه کننده استفاده کرد، باید ADC خاموش باشد.

هرگاه ولتاژ ورودی مثبت از ولتاژ ورودی منفی بیشتر باشد، خروجی مقایسه کننده 1 می شود. هرگاه ولتاژ ورودی منفی از ولتاژ ورودی مثبت بزرگتر باشد، خروجی صفر می شود. خروجی مقایسه کننده در AVR، بیت ACO در رجیستر ACSR است. در صورتی که وقفه مقایسه کننده در AVR فعال باشد، با هر بار تغییر ACO یا لبۀ بالا رونده یا لبۀ پایین روندۀ آن، یک وقفه اتفاق می افتد. همچنین خروجی مقایسه کننده مستقیماً به ورودی Capture تایمر 1 متصل است. و در تایمر 1 می توان Noise Canceler و نوع لبۀ تحریک را نیز انتخاب کرد.

در تصویر زیر بلوک دیاگرام مقایسه کننده آنالوگ را در میکروکنترلر ATmega128A مشاهده می کنید.

تایمر 1 و 3 در AVR

بلوک-دیاگرام-مقایسه-کننده

تصویر 4 – بلوک دیاگرام مقایسه کننده در میکروکنترلرهای AVR

مشخصات الکتریکی و زمانی واحد مقایسه کننده در AVR

در این بخش به مشخصات الکتریکی و زمانی مقایسه کننده آنالوگ در AVR می پردازیم. ولتاژ آفست ورودی، جریان نشتی ورودی، تأخیر انتشار، مقدار ولتاژ Bandgap و جریان مصرفی مقایسه کننده آنالوگ مشخصاتی هستند که در این بخش بررسی می کنیم. این مشخصات برای مقایسه کننده آنالوگ میکروکنترلر ATmega128A هستند.

  • ولتاژهای ورودی: ولتاژهای ورودی مقایسه کننده می توانند بین -0.5 ولت تا VCC+0.5 ولت باشند.
  • ولتاژ آفست ورودی (VACIO): برابر 40 میلی ولت است. شرایط اندازه گیری این ولتاژ به این صورت است: VCC = 5v و Vin = VCC/2؛
  • جریان نشتی ورودی (IACLK): بین -50 تا 50 نانو آمپر است. شرایط اندازه گیری این جریان به این صورت است: VCC = 5v و Vin = VCC/2؛
  • تأخیر انتشار (Propagation delay): در ولتاژ تغذیۀ 2.7 ولت برابر 750 نانوثانیه و در ولتاژ تغذیۀ 5 ولت برابر 500 نانوثانیه است؛
  • مقدار ولتاژ Bandgap: با دما و VCC متغیر است. این تغییرات در نمودار زیر (سمت چپ) آمده است.
  • جریان مصرفی: نسبت به دما و VCC متغیر است. نمودار زیر (سمت راست) مقدار جریان مصرفی مقایسه کننده را نشان می دهد.

مشخصات-الکتریکی-مقایسه-کننده

تصویر 5 – مقدار جریان مصرفی مقایسه کننده آنالوگ AVR و ولتاژ Bandgap نسبت به VCC و دما

رجیسترهای مقایسه کننده آنالوگ در AVR

رجیستر SFIOR: این رجیستر برای برخی تنظیمات مربوط به واحدهای داخلی مختلف است. بیت سوم آن یعنی ACME مروبط به مقایسه کننده آنالوگ است. بیت ACME این رجیستر مطابق جدول 1 برای انتخاب پایۀ منفی مقایسه کننده آنالوگ استفاده می شود. وقتی این بیت 1 شود و ADC خاموش باشد، پایۀ منفی مقایسه کننده می تواند توسط بیت های MUX2 تا MUX0 بین یکی از پایه های ADC0 تا ADC7 انتخاب شود. وقتی این بیت صفر باشد، پایۀ AIN1 به عنوان پایۀ منفی مقایسه کننده آنالوگ تعیین می شود. در این حالت خاموش یا روشن بودن ADC تأثیری در عملکرد مقایسه کننده آنالوگ ندارد.

رجیسترهای ADMUX و ADCSRA: این رجیسترها مربوط به واحد ADC هستند. بیت های MUX2 تا MUX0 از رجیستر ADMUX تعیین کنندۀ پایۀ ورودی منفی مقایسه کننده هستند. بیت ADEN از رجیستر ADCSRA نیز مربوط به خاموش و روشن کردن واحد ADC است. برای این که از ورودی های ADC بتوان به عنوان ورودی منفی مقایسه کننده استفاده کرد، باید ADC خاموش باشد. این بیت ها با توجه به جدول 1 تعیین کنندۀ ورودی منفی مقایسه کننده هستند.

رجیستر-sfior-admux-adcsra

تصویر 6 – رجیسترهای SFIOR و ADMUX و ADCSRA

رجیستر ACSR

این رجیستر، رجیستر کنترلی واحد مقایسه کننده آنالوگ در AVR است.

بیت ACD: با یک کردن این بیت، مقایسه کننده آنالوگ خاموش و با صفر کردن آن، مقایسه کننده آنالوگ روشن می شود. در هر زمانی می توان با یک کردن این بیت، مقایسه کننده را خاموش کرد. در این صورت در مصرف توان صرفه جویی می شود. وقتی مقدار ACD را تغییر می دهیم، باید بیت ACIE صفر باشد. در غیر این صورت تغییر مقدار ACD باعث اتفاق افتادن وقفۀ مقایسه کننده می شود.

بیت ACBG: با یک کردن این بیت، ولتاژ Bandgap و با یک کردن آن، پایۀ AIN0 به عنوان ورودی مثبت مقایسه کننده تعیین می شود.

بیت ACO: این بیت نشان دهندۀ خروجی مقایسه کننده آنالوگ است. تغییر وضعیت این بیت نسبت به خروجی مقایسه کننده، یک الی دو سیکل کلاک CPU زمان می برد.

بیت ACI: این بیت پرچم وقفۀ مقایسه کننده است. این بیت پس از اتمام روتین وقفه به صورت سخت افزاری و یا نوشتن 1 در آن به صورت نرم افزاری پاک می شود.

بیت ACIE: با نوشتن 1 در این بیت، وقفۀ مقایسه کننده فعال می شود.

بیت ACIC: با یک کردن این بیت، واحد Capture در تایمر 1 توسط خروجی مقایسه کننده آنالوگ تحریک می شود.

بیت های ACIS: این دو بیت با توجه به جدول زیر تعیین می کنند که وقفۀ مقایسه کننده با کدام مشخصۀ سیگنال خروجی، اتفاق بیفتد.

مد وقفه ACIS0 ACIS1
وقفۀ مقایسه کننده با هر تغییر خروجی مقایسه کننده اتفاق می افتد. 0 0
رزرو شده 1 0
وقفۀ مقایسه کننده در لبۀ پایین روندۀ خروجی مقایسه کننده اتفاق می افتد. 0 1
وقفۀ مقایسه کننده در لبۀ بالا روندۀ خروجی مقایسه کننده اتفاق می افتد. 1 1

جدول 2 – نوع تحریک وقفۀ مقایسه کننده در AVR

رجیستر-کنترلی-مقایسه-کننده-acsr

تصویر 7 – رجیستر ACSR

ویدئوی 1 – راه اندازی مقایسه کننده آنالوگ در AVR

نتایج مقایسه کننده آنالوگ در AVR

  1. مقایسه کننده آنالوگ در میکروکنترلرهای AVR، واحدی است که ولتاژ روی پایۀ AIN0 (یا ولتاژ Bandgap) را با ولتاژ روی پایۀ AIN1 (یا یکی از ورودی های ADC) مقایسه می کند و نتیجه را در یک بیت قرار می دهد.
  2. اگر ولتاژ ورودی مثبت از ولتاژ ورودی منفی بیشتر باشد، بیت ACO برابر 1 اگر ولتاژ ورودی مثبت از ولتاژ ورودی منفی کمتر باشد، بیت ACO برابر صفر می شود.
  3. از جمله امکانات و قابلیت های مقایسه کننده در AVR عبارتند از: وقفه، قابلیت قرار دادن ولتاژ Bandgap به عنوان ورودی مثبت، قابلیت تعیین ورودی های ADC به عنوان ورودی منفی و تحریک واحد Capture تایمر.
  4. مهم ترین تفاوت مقایسه کننده با اپ امپ طبقۀ خروجی آنهاست. مقایسه کننده ها عموماً دارای خروجی کلکتور باز (یا درین باز) هستند. خروجی اپ امپ ها معمولاً یک تقویت کنندۀ پوش پول و یا چند طبقه بافر است.
  5. برای استفاده از پایه های ورودی ADC به عنوان ورودی منفی مقایسه کننده، باید ADC غیر فعال باشد.
  6. وقفۀ مقایسه کننده در AVR می تواند با لبۀ بالا رونده، پایین رونده و یا هر تغییر سیگنال خروجی تحریک شود.

آموزش های یوبرد که مرتبط با این نوشته هستند:

رضا اسدی

رضا اسدی

مدیر یوبرد، خالق و توسعه دهندۀ پلتفرم یوبرد، مجری پروژه های الکترونیکی، فعال در صنعت آسانسور، سابقه فعالیت در صنعت خودرو و همکاری در صنعت پزشکی و صنایع دیگر، آموزگار آموزش های یوبرد

سفارش پروژه میکروکنترلر یوبرد

زیرساخت مطمئن صنعت

جدیدترین تاپیک های AVR

برنامه-نویسی-میکروکنترلر-ویژوال-استودیو

برنامه نویسی میکروکنترلرها با ویژوال استودیو

نصب VisualGDB روی ویژوال استودیو، ساخت پروژه برای برنامه نویسی میکروکنترلرها با ویژوال استودیو، ساخت پروژه برای STM32 در ویژوال استودیو، کدنویسی STM32 در ویژوال استودیو، ساخت پروژه برای AVR در ویژوال استودیو، ساخت پروژه برای LPC در ویژوال استودیو، ساخت پروژۀ آردوینو در ویژوال استودیو

رله-relay

رله، سوییچ تحریک پذیر

تعریف رله، تاریخچه، طرز کار رلۀ الکترومکانیکی، اصطلاحات، انواع الکترومکانیکی، رلۀ حالت جامد، رلۀ هیبریدی، رلۀ حرارتی و انواع تحریک شونده با عوامل غیر الکتریکی، انواع موجود در صنعت برق و برق صنعتی، رلۀ ایمنی و برخی انواع حفاظتی، رلۀ دیجیتال، لزوم استفاده و راه اندازی رله، مشخصات الکتریکی

پروتکل-i2c-protocol

پروتکل I2C، ادغامی از USART و SPI توسط Philips

پروتکل I2C، تاریخچه I2C، ویژگی ها و کاربردهای I2C، عبارات و اصطلاحات I2C، باس، اتصالات و گسترش شبکه I2C، سیگنال های I2C، قالب داده و آدرس در I2C، انتقال داده در I2C، آدرس دهی 10 بیتی، قابلیت Multi-master، حکمیت، مشخصات الکتریکی و زمانی، مقدار مقاومت های پول آپ، Clock Stretching

سون-سگمنت-seven-segment

سون سگمنت، نمایشگر هفت قسمتی

سون سگمنت چیست؟ انواع 7-segment، تاریخچه 7-segment، ساختار 7-segment و نمایش در آن، تعداد ارقام و 7-segmentهای مالتی پلکس، کاربردها، انواع اندازه ها و رنگ ها و مدار راه اندازی 7-segment، بایاس 7-segment، مقدار مقاومت در راه اندازی 7-segment، درایور سون سگمنت، پایه های 7-segment

14 دیدگاه ها

  1. سلام استاد اسدی. چند سوال داشتم.
    اول اینکه مقایسه کننده آنالوگ رو دیدم که فقط توی AVR داریم. توی میکروکنترلرهای دیگه ندیدم. توی چه میکروکنترلرهای دیگه ای مقایسه کننده آنالوگ داریم؟ چرا انقدر کم استفادس؟
    دوم اینکه ای کاش یه نمونه کدهم براش میزاشتید تا مطلب کامل میشد. البته خیلی خوب توضیح دادید.
    و سوال آخر اینکه کاربردهای مقایسه کننده آنالوگ رو توی صنایع می فرمایید؟
    خیلی ممنون از مطلب خوب شما و پوزش بابت اینکه سوالات طولانی شد.

    پاسخ
    • سلام. نمیشه گفت چون ما توی بعضی میکروکنترلرها مقایسه کننده آنالوگ رو ندیدیم، فقط توی میکروکنترلرهای AVR موجود باشه. مثلاً توی بعضی شماره های میکروکنترلرهای STM32 مقایسه کننده آنالوگ داریم. این که کم استفاده س یه دلیلش اینه که کارهایی رو که با مقایسه کننده آنالوگ میشه انجام داد، با واحدهای دیگه قابل پیاده سازیه. برای مثال یکی از کاربردهایی که داره اینه که اگه ولتاژ از یه حد بیشتر یا کمتر شد، میکروکنترلر دستوری رو اجرا کنه. این کاربرد با ADC هم قابل پیاده سازیه. یا یکی از کاربردها میتونه اندازه گیری عرض پالس باشه. که این کاربرد به راحتی با تایمرهای میکروکنترلر انجام میشه. کاربردهایی که با توجه به عملکرد مقایسه کننده آنالوگ میشه براش در نظر گرفت این موارد هستند: اندازه گیری فرکانس و عرض پالس، مانیتورینگ ولتاژ و جریان. یه کاربرد جالب دیگه که داره اینه که میشه ازش برای ساخت خازن سنج با میکروکنترلر استفاده کرد. همچنین میشه با مقایسه کننده آنالوگ یه موج PWM ساخت که البته لازمۀ اون یه موج دندانه اره ای یا یه موج مثلثی برای ورودی مقایسه کننده آنالوگ هستش. هرکدوم از کاربردهایی که گفتم خودشون میتونن توی صنعت استفاده های مختلفی داشته باشن. در آینده حتماً در نوشته هایی در قالب پروژه های آموزشی، نمونه کد برای مقایسه کننده آنالوگ میکروکنترلر AVR ATmega128 بررسی خواهیم کرد.

      پاسخ
  2. سلام. خیلی ممنون بابت مطلب مفیدتون. یه سوال دارم. توی تصویر 2 سمت چپ توی مدار داخلی اپ امپ یه نمادی هست که تا حالا ندیدم. دو تا دایره بالا و پایین هم. اون نماد چیه؟

    پاسخ
    • سلام. خواهش میکنم. اون نماد، نماد منبع جریانه. توی نوشتۀ «نماد قطعات الکترونیک سازندگان نقشه شماتیک» توی بخش «نماد منابع تغذیه و ابزارهای اندازه گیری» آورده شده.

      پاسخ
  3. سلام. خیلی مطلب خوبی بود. مخصوصا اون تفاوت مقایسه کننده و اپ امپ. توی نظرات فرمودید میشه از مقایسه کننده آنالوگ برای ساخت خازن سنج با میکروکنترلر استفاده کرد و گفتید در آینده نوشته هایی هم در قالب پروژه قرار میدید. اگه امکانش هست اول خازن سنج رو بذارید. ممنون

    پاسخ
    • سلام. خواهش میکنم. بله حتماً مدار خازن سنج با میکروکنترلرهای AVR رو قرار میدیم.

      پاسخ
  4. دستتون درد نکنه جناب مهندس. زحمت کشیدید. بخش تفاوت مقایسه کننده و اپ امپ جالب بود. توی درس تکنیک پالس یادمه استادمون گفته بود که برای ساخت اشمیت تریگر سریع به جای اپ امپ از مقایسه کننده استفاده کنیم. دلیل سریع بودن مقایسه کننده نسبت به اپ امپ رو الان متوجه شدم. ممنون

    پاسخ
    • خواهش میکنم. لطف دارید. بله مقایسه کننده ها نسبت به اپ امپ ها سریع تر هستند. توی تکنیک پالس یه بحث ساخت فانکشن ژنراتور داشتیم که تا حدود 1 تا 2 مگاهرتز جواب میداد و اشمیت تریگر اون هم با تعدادی ترانزیستور و خازن سرعت دهنده و یه مقایسه کننده ساخته میشد. 

      پاسخ
  5. درود ، وقت بخیر من در دیتا شیت دیدم ولتاژ باند گپ 1.3 ولت هست میخواستم بدونم این 2.56 نوشته شده در متن اشتباه تایپی هست ؟ ممنون

    پاسخ
    • سلام. ممنون از توجهتون. 2.56 اشتباهه. این نوشته در آینده ویرایش و اصلاح میشه. خواهش میکنم

      پاسخ
  6. خسته نباشی
    اقا خیلی حرفه ای و مطابق دیتاشیت توضیح دادی ممنون

    پاسخ
    • سلام. زنده باشید. ممنون از نظرتون. خواهش می کنم

      پاسخ
  7. با سلام خیلی ممنون از آموزش های مفید شما استاد در بحث فلزیاب های پالسی ما یک ولتاژ خروجی آنالوگ داریم از لوپ دستگاه که متغیره در حالت نموداری ولتاژ در واحد زمان ایا میشه کلا در بحث مقایسه کنندگی از avr جای اپ امپ ها مثل lf357کهslaw rate بالایی دارند استفاده کرد و در خروجی میکرو یک ولتاژ متغییر برای بیزر یا ال سی دی کارکتری داشت با نزدیک و دور شدن فلز به لوپ این ولتاژ متغیر میشه از چه قسمت هایی و چگونه با تشکر ویژه

    پاسخ
    • سلام. خیلی ممنون. لطف دارید. اگر اطمینان دارید که اپ امپ در کاربرد مقایسه کننده به کار رفته، میتونید از مقایسه کننده استفاده کنید. سرعت تغییر خروجی مقایسه کننده نسبت به اپ امپ بیشتره و میتونه عملکرد مدار رو بهبود ببخشه. برنامه میکروکنترلر هم میتونید طوری بنویسید که در صورتی که دامنۀ ولتاژ خروجی لوپ از حدی بالا رفت، بازر فعال بشه یا روی LCD چیزی رو نمایش بده. خروجی مدار مورد نظر رو به ورودی مقایسه کننده بدید. برای مقایسه کننده برنامه بنویسید و فعالش کنید. وقفۀ اون رو هم فعال کنید و توی وقفه ش کدی رو بنویسید که وقتی وقفه اتفاق می افته، بیانگر این باشه که ولتاژ خروجی اون مدار از حدی که شما در نظر دارید، عبور کرده. این کد یه خروجی داره که از این خروجی توی حلقۀ while برنامه استفاده می کنید و نتیجه رو توی LCD نمایش میدید و بازر و هم فعال می کنید. خواهش می کنم.

      پاسخ

یک دیدگاه بنویسید

نشانی ایمیل شما منتشر نخواهد شد. بخش‌های موردنیاز علامت‌گذاری شده‌اند *

دیگر آموزش های یوبرد

آموزش زبان C و MISRA-C یوبرد

گام نخست دنیای میکروکنترلر

آموزش طراحی PCB و نویز یوبرد

تجسم دنیای الکترونیک

آموزش لحیم کاری و IPC-A-610 یوبرد

ساخت دنیای الکترونیک

آموزش میکروکنترلرهای ARM STM32 یوبرد

شروع بازی ST

آموزش آردوینو یوبرد

جادۀ آسفالت میکروکنترلر

آموزش FreeRTOS یوبرد

زمان واقعی در میکروکنترلر و پردازنده های کوچک با FreeRTOS

آموزش ماژول های SIM800 یوبرد

تلفن همراه صنعت

آموزش زبان ++C و ++MISRA-C یوبرد

لمس شی گرایی در میکروکنترلرها

آموزش میکروکنترلرهای LPC یوبرد

یادگار فیلیپس

آموزش های شاخص

دانلود

لطفا برای دریافت لینک دانلود اطلاعات خواسته شده را وارد نمایید
ضبط پیام صوتی

زمان هر پیام صوتی 4 دقیقه است